-A A +A
Type: 
Conference
Description: 
We investigate the fabrication of sub-20 nm pillars by DSA lithography using PS-cylinder-forming PS-b-PMMA block copolymer (BCP). The approach is based on the removal of PMMA-matrix by either dry or wet etching to form PS pillars which act as a soft etching mask that can be further transferred to an intermediate hard one and then to the substrate. The process conditions of BCP self-assembly were optimized in terms of annealing temperature, brush layer composition and film thickness. It was demonstrated that PS/PMMA volume fractions of 50/50 in the PS-r-PMMA brush layer is the most adapted to obtain standing PS cylinders. Top-down SEM images showed a hexagonal array of PS cylinders in a PMMA matrix with a natural period of 33.5 nm (determined by Fast Fourier Transform FFT method) and CD around 15 nm. Both wet and dry etching strategies for PMMA removal were discussed. It was shown that …
Publisher: 
International Society for Optics and Photonics
Publication date: 
19 Mar 2018
Authors: 

Ahmed Gharbi, Patricia Pimenta-Barros, Olivia Saouaf, Gabriel Reynaud, Laurent Pain, Raluca Tiron, Christophe Navarro, Célia Nicolet, Ian Cayrefourcq, Michele Perego, Francesc Perez-Murano, Esteve Amat, Marta Fernandez-Regulez

Biblio References: 
Volume: 10586 Pages: 105860Q
Origin: 
Advances in Patterning Materials and Processes XXXV